Follow
Mario Schölzel
Mario Schölzel
IHP GmbH und Universität Potsdam
Verified email at ihp-microelectronics.com
Title
Cited by
Cited by
Year
Reconfigurable high performance architectures: How much are they ready for safety-critical applications?
D Sabena, L Sterpone, M Schölzel, T Koal, HT Vierhaus, S Wong, R Glein, ...
2014 19th IEEE European Test Symposium (ETS), 1-8, 2014
212014
Fine-grained software-based self-repair of VLIW processors
M Schölzel
2011 IEEE International Symposium on Defect and Fault Tolerance in VLSI and …, 2011
212011
Combining hardware-and software-based self-repair methods for statically scheduled data paths
M Schölzel, S Müller
2010 IEEE 25th International Symposium on Defect and Fault Tolerance in VLSI …, 2010
212010
Towards an automatic generation of diagnostic in-field sbst for processor components
M Schölzel, T Koal, S Röder, HT Vierhaus
2013 14th Latin American Test Workshop-LATW, 1-6, 2013
202013
On the feasibility of built-in self repair for logic circuits
T Koal, D Scheit, M Schölzel, HT Vierhaus
2011 IEEE International Symposium on Defect and Fault Tolerance in VLSI and …, 2011
192011
Systematic generation of diagnostic software-based self-test routines for processor components
M Schölzel, T Koal, HT Vierhaus
2014 19th IEEE European Test Symposium (ETS), 1-6, 2014
152014
Combining fault tolerance and self repair at minimum cost in power and hardware
T Koal, M Schölzel, HT Vierhaus
17th International Symposium on Design and Diagnostics of Electronic …, 2014
152014
Reduced Triple Modular redundancy for built-in self-repair in VLIW-processors
M Schölzel
Signal Processing Algorithms, Architectures, Arrangements, and Applications …, 2007
142007
Advanced technical education in the age of cyber physical systems
HT Vierhaus, M Schölzel, J Raik, R Ubar
10th European Workshop on Microelectronics Education (EWME), 193-198, 2014
122014
Towards a graceful degradable multicore-system by hierarchical handling of hard errors
S Müller, M Schölzel, HT Vierhaus
2013 21st Euromicro International Conference on Parallel, Distributed, and …, 2013
112013
Multiple fault testing in systems-on-chip with high-level decision diagrams
R Ubar, SA Oyeniran, M Scholzel, HT Vierhaus
2015 10th International Design & Test Symposium (IDT), 66-71, 2015
102015
Diagnostic self-test for dynamically scheduled superscalar processors based on reconfiguration techniques for handling permanent faults
M Schölzel, T Koal, HT Vierhaus
2014 IEEE International Symposium on Defect and Fault Tolerance in VLSI and …, 2014
92014
On reliability estimation for combined transient and permanent fault handling
S Scharoba, M Schölzel, T Koal, HT Vierhaus
2014 14th Biennial Baltic Electronic Conference (BEC), 73-76, 2014
72014
On performance estimation of a scalable VLIW soft-core in XILINX FPGAs
P Pfeifer, Z Plíva, M Schölzel, T Koal, HT Vierhaus
2013 IEEE 16th International Symposium on Design and Diagnostics of …, 2013
72013
Proposal of vliw architecture for application specific processors with built-in-self-repair facility via variable accuracy arithmetic
P Pawlowski, A Dabrowski, M Scholzel
2007 IEEE Design and Diagnostics of Electronic Circuits and Systems, 1-6, 2007
72007
On performance estimation of a scalable VLIW soft-core on ALTERA and XILINX FPGA platforms
P Pfeifer, Z Plíva, M Schölzel, T Koal, HT Vierhaus
2013 International Conference on Applied Electronics, 1-4, 2013
62013
Software-based repair for memories in tiny embedded systems
M Schölzel, P Skoncej
2015 20th IEEE European Test Symposium (ETS), 1-2, 2015
52015
Timing for virtual TMR in logic circuits
S Müller, T Koal, M Schölzel, HT Vierhaus
2014 IEEE 20th International On-Line Testing Symposium (IOLTS), 190-193, 2014
52014
On the feasibility of handling manufacturing faults in embedded memories by software means
M Schölzel, P Skoncej, F Vater
2015 IEEE International Workshop of Electronics, Control, Measurement …, 2015
22015
Compiler-Centred Microprocessor Design (CoMet)-From C-Code to a VHDL Model of an ASIP
R Urban, M Schölzel, HT Vierhaus, E Altmann, H Seelig
2015 IEEE 18th International Symposium on Design and Diagnostics of …, 2015
22015
The system can't perform the operation now. Try again later.
Articles 1–20