Follow
Luis Ceze
Luis Ceze
Professor of Computer Science and Engineering, University of Washington
Verified email at cs.washington.edu - Homepage
Title
Cited by
Cited by
Year
{TVM}: An automated {End-to-End} optimizing compiler for deep learning
T Chen, T Moreau, Z Jiang, L Zheng, E Yan, H Shen, M Cowan, L Wang, ...
13th USENIX Symposium on Operating Systems Design and Implementation (OSDI …, 2018
15962018
EnerJ: Approximate data types for safe and general low-power computation
A Sampson, W Dietl, E Fortuna, D Gnanapragasam, L Ceze, D Grossman
ACM SIGPLAN Notices 46 (6), 164-174, 2011
9782011
Neural acceleration for general-purpose approximate programs
H Esmaeilzadeh, A Sampson, L Ceze, D Burger
2012 45th annual IEEE/ACM international symposium on microarchitecture, 449-460, 2012
8512012
An overview of the BlueGene/L supercomputer
NR Adiga, G Almási, GS Almasi, Y Aridor, R Barik, D Beece, R Bellofatto, ...
SC'02: Proceedings of the 2002 ACM/IEEE Conference on Supercomputing, 60-60, 2002
6772002
Random access in large-scale DNA data storage
L Organick, SD Ang, YJ Chen, R Lopez, S Yekhanin, K Makarychev, ...
Nature biotechnology 36 (3), 242-248, 2018
6272018
SESC simulator
J Renau
http://sesc. sourceforge. net/, 2005
601*2005
Architecture support for disciplined approximate programming
H Esmaeilzadeh, A Sampson, L Ceze, D Burger
Proceedings of the seventeenth international conference on Architectural …, 2012
5922012
A DNA-based archival storage system
J Bornholt, R Lopez, DM Carmean, L Ceze, G Seelig, K Strauss
Proceedings of the twenty-first international conference on architectural …, 2016
4742016
Molecular digital data storage using DNA
L Ceze, J Nivala, K Strauss
Nature Reviews Genetics 20 (8), 456-466, 2019
4302019
Bulk disambiguation of speculative threads in multiprocessors
L Ceze, J Tuck, J Torrellas, C Cascaval
ACM SIGARCH Computer Architecture News 34 (2), 227-238, 2006
4232006
Approximate storage in solid-state memories
A Sampson, J Nelson, K Strauss, L Ceze
ACM Transactions on Computer Systems (TOCS) 32 (3), 1-23, 2014
4042014
DMP: Deterministic shared memory multiprocessing
J Devietti, B Lucia, L Ceze, M Oskin
Proceedings of the 14th international conference on Architectural support …, 2009
3972009
Learning to optimize tensor programs
T Chen, L Zheng, E Yan, Z Jiang, T Moreau, L Ceze, C Guestrin, ...
Advances in Neural Information Processing Systems 31, 2018
3932018
CoreDet: A compiler and runtime system for deterministic multithreaded execution
T Bergan, O Anderson, J Devietti, L Ceze, D Grossman
Proceedings of the fifteenth International Conference on Architectural …, 2010
3862010
POSH: a TLS compiler that exploits program structure
W Liu, J Tuck, L Ceze, W Ahn, K Strauss, J Renau, J Torrellas
Proceedings of the eleventh ACM SIGPLAN symposium on Principles and practice …, 2006
3302006
BulkSC: Bulk enforcement of sequential consistency
L Ceze, J Tuck, P Montesinos, J Torrellas
Proceedings of the 34th annual international symposium on Computer …, 2007
3162007
Delorean: Recording and deterministically replaying shared-memory multiprocessor execution ef? ciently
P Montesinos, L Ceze, J Torrellas
ACM SIGARCH Computer Architecture News 36 (3), 289-300, 2008
2922008
{Latency-Tolerant} software distributed shared memory
J Nelson, B Holt, B Myers, P Briggs, L Ceze, S Kahan, M Oskin
2015 USENIX Annual Technical Conference (USENIX ATC 15), 291-305, 2015
2612015
TVM: end-to-end optimization stack for deep learning
T Chen, T Moreau, Z Jiang, H Shen, EQ Yan, L Wang, Y Hu, L Ceze, ...
arXiv preprint arXiv:1802.04799 11 (2018), 20, 2018
2532018
General-purpose code acceleration with limited-precision analog computation
R St. Amant, A Yazdanbakhsh, J Park, B Thwaites, H Esmaeilzadeh, ...
ACM SIGARCH Computer Architecture News 42 (3), 505-516, 2014
2362014
The system can't perform the operation now. Try again later.
Articles 1–20