Follow
Borivoje Nikolic
Title
Cited by
Cited by
Year
Digital integrated circuits
JM Rabaey, A Chandrakasan, B Nikolic
Prentice hall, 2002
98462002
Introduction to stochastic processes in biostatistics
CL Chiang
(No Title), 1968
2927*1968
Improved sense-amplifier-based flip-flop: Design and measurements
B Nikolic, VG Oklobdzija, V Stojanovic, W Jia, JKS Chiu, MMT Leung
IEEE Journal of Solid-State Circuits 35 (6), 876-884, 2000
6032000
A 14-b 12-MS/s CMOS pipeline ADC with over 100-dB SFDR
Y Chiu, PR Gray, B Nikolic
IEEE Journal of Solid-State Circuits 39 (12), 2139-2151, 2004
3762004
FireSim: FPGA-accelerated cycle-exact scale-out system simulation in the public cloud
S Karandikar, H Mao, D Kim, D Biancolin, A Amid, D Lee, N Pemberton, ...
2018 ACM/IEEE 45th Annual International Symposium on Computer Architecture …, 2018
2712018
Least mean square adaptive digital background calibration of pipelined analog-to-digital converters
Y Chiu, CW Tsang, B Nikolic, PR Gray
IEEE Transactions on Circuits and Systems I: Regular Papers 51 (1), 38-46, 2004
2702004
A 2.8 GS/s 44.6 mW time-interleaved ADC achieving 50.9 dB SNDR and 3 dB effective resolution bandwidth of 1.5 GHz in 65 nm CMOS
D Stepanovic, B Nikolic
IEEE Journal of Solid-State Circuits 48 (4), 971-982, 2013
2662013
Methods for true energy-performance optimization
D Markovic, V Stojanovic, B Nikolic, MA Horowitz, RW Brodersen
IEEE Journal of Solid-State Circuits 39 (8), 1282-1293, 2004
2602004
Clocked CMOS adiabatic logic with integrated single-phase power-clock supply
D Maksimovic, VG Oklobdzija, B Nikolic, KW Current
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 8 (4), 460-463, 2000
2532000
Level conversion for dual-supply systems
F Ishihara, F Sheikh, B Nikolić
Proceedings of the 2003 international symposium on Low power electronics and …, 2003
2442003
An efficient 10GBASE-T ethernet LDPC decoder design with low error floors
Z Zhang, V Anantharam, MJ Wainwright, B Nikolic
IEEE Journal of Solid-State Circuits 45 (4), 843-855, 2010
2402010
Analysis of absorbing sets and fully absorbing sets of array-based LDPC codes
L Dolecek, Z Zhang, V Anantharam, MJ Wainwright, B Nikolic
IEEE Transactions on Information Theory 56 (1), 181-201, 2009
2332009
FinFET-based SRAM design
Z Guo, S Balasubramanian, R Zlatanovici, TJ King, B Nikolić
Proceedings of the 2005 international symposium on Low power electronics and …, 2005
2232005
Analysis and design of low-energy flip-flops
D Markovic, B Nikolic, R Brodersen
Proceedings of the 2001 international symposium on Low power electronics and …, 2001
2152001
High throughput low-density parity-check decoder architectures
E Yeo, P Pakzad, B Nikolic, V Anantharam
GLOBECOM'01. IEEE Global Telecommunications Conference (Cat. No. 01CH37270 …, 2001
2132001
Chipyard: Integrated design, simulation, and implementation framework for custom socs
A Amid, D Biancolin, A Gonzalez, D Grubb, S Karandikar, H Liew, ...
IEEE Micro 40 (4), 10-21, 2020
2082020
Large-scale SRAM variability characterization in 45 nm CMOS
Z Guo, A Carlson, LT Pang, KT Duong, TJK Liu, B Nikolic
IEEE Journal of Solid-State Circuits 44 (11), 3174-3192, 2009
1862009
Design of energy-and cost-efficient massive MIMO arrays
A Puglielli, A Townley, G LaCaille, V Milovanović, P Lu, K Trotskovsky, ...
Proceedings of the IEEE 104 (3), 586-606, 2015
1792015
A design environment for high-throughput low-power dedicated signal processing systems
WR Davis, N Zhang, K Camera, D Markovic, T Smilkstein, MJ Ammer, ...
IEEE Journal of Solid-State Circuits 37 (3), 420-431, 2002
1682002
VLSI architectures for iterative decoders in magnetic recording channels
E Yeo, P Pakzad, B Nikolic, V Anantharam
IEEE Transactions on Magnetics 37 (2), 748-755, 2001
1642001
The system can't perform the operation now. Try again later.
Articles 1–20