Follow
Jeffrey Young
Jeffrey Young
Verified email at gatech.edu - Homepage
Title
Cited by
Cited by
Year
Graphin: An online high performance incremental graph processing framework
D Sengupta, N Sundaram, X Zhu, TL Willke, J Young, M Wolf, K Schwan
Euro-Par 2016: Parallel Processing: 22nd International Conference on …, 2016
842016
Performance implications of NoCs on 3D-stacked memories: Insights from the hybrid memory cube
R Hadidi, B Asgari, J Young, BA Mudassar, K Garg, T Krishna, H Kim
2018 IEEE international symposium on Performance analysis of systems and …, 2018
352018
An initial characterization of the Emu Chick
E Hein, T Conte, J Young, S Eswar, J Li, P Lavin, R Vuduc, J Riedy
2018 IEEE International Parallel and Distributed Processing Symposium …, 2018
232018
GPUShare: Fair-sharing middleware for GPU clouds
A Goswami, J Young, K Schwan, N Farooqui, A Gavrilovska, M Wolf, ...
2016 IEEE International Parallel and Distributed Processing Symposium …, 2016
192016
Linear algebra-based triangle counting via fine-grained tasking on heterogeneous environments:(update on static graph challenge)
A Yaşar, S Rajamanickam, J Berry, M Wolf, JS Young, ÜV ÇatalyÜrek
2019 IEEE High Performance Extreme Computing Conference (HPEC), 1-4, 2019
182019
Landrush: Rethinking in-situ analysis for gpgpu workflows
A Goswami, Y Tian, K Schwan, F Zheng, J Young, M Wolf, G Eisenhauer, ...
2016 16th IEEE/ACM International Symposium on Cluster, Cloud and Grid …, 2016
182016
Programming strategies for irregular algorithms on the emu chick
ER Hein, S Eswar, A Yaşar, J Li, JS Young, TM Conte, ÜV Çatalyürek, ...
ACM Transactions on Parallel Computing (TOPC) 7 (4), 1-25, 2020
152020
A microbenchmark characterization of the emu chick
JS Young, E Hein, S Eswar, P Lavin, J Li, J Riedy, R Vuduc, T Conte
Parallel Computing 87, 60-69, 2019
152019
Examining recent many-core architectures and programming models using SHOC
MG Lopez, J Young, JS Meredith, PC Roth, M Horton, JS Vetter
Proceedings of the 6th International Workshop on Performance Modeling …, 2015
142015
RISC-V FPGA platform toward ROS-based robotics application
J Lee, H Chen, J Young, H Kim
2020 30th International Conference on Field-Programmable Logic and …, 2020
122020
Experimental insights from the rogues gallery
JS Young, J Riedy, TM Conte, V Sarkar, P Chatarasi, S Srikanth
2019 IEEE International Conference on Rebooting Computing (ICRC), 1-8, 2019
122019
Satisfying data-intensive queries using GPU clusters
J Young, H Wu, S Yalamanchili
2012 SC Companion: High Performance Computing, Networking Storage and …, 2012
102012
Spatter: A benchmark suite for evaluating sparse access patterns
P Lavin, EJ Riedy, R Vuduc, J Young
arXiv preprint arXiv:1811.03743, 2018
82018
“Smarter” NICs for faster molecular dynamics: a case study
S Karamati, C Hughes, KS Hemmert, RE Grant, WW Schonbein, S Levy, ...
2022 IEEE International Parallel and Distributed Processing Symposium (IPDPS …, 2022
72022
Challenges porting a C++ template-metaprogramming abstraction layer to directive-based offloading
J Kelling, S Bastrakov, A Debus, T Kluge, M Leinhauser, R Pausch, ...
International Workshop on Accelerator Programming Using Directives, 92-111, 2021
72021
Evaluating GPU programming models for the LUMI supercomputer
GS Markomanolis, A Alpay, J Young, M Klemm, N Malaya, A Esposito, ...
Asian Conference on Supercomputing Frontiers, 79-101, 2022
62022
A portable benchmark suite for highly parallel data intensive query processing
I Saeed, J Young, S Yalamanchili
Proceedings of the 2nd Workshop on Parallel Programming for Analytics …, 2015
62015
HIPLZ: Enabling performance portability for exascale systems
J Zhao, C Bertoni, J Young, K Harms, V Sarkar, B Videau
Concurrency and Computation: Practice and Experience 35 (25), e7866, 2023
52023
Evaluating gather and scatter performance on CPUs and GPUs
P Lavin, J Young, R Vuduc, J Riedy, A Vose, D Ernst
Proceedings of the International Symposium on Memory Systems, 209-222, 2020
52020
Optimizing communication for a 2D-partitioned scalable BFS
J Young, J Romera, M Hauck, H Fröning
2016 IEEE High Performance Extreme Computing Conference (HPEC), 1-7, 2016
52016
The system can't perform the operation now. Try again later.
Articles 1–20