Follow
Youngjoo Lee
Title
Cited by
Cited by
Year
6.4 Gb/s multi-threaded BCH encoder and decoder for multi-channel SSD controllers
Y Lee, H Yoo, I Yoo, IC Park
2012 IEEE International Solid-State Circuits Conference, 426-428, 2012
852012
Lut-gemm: Quantized matrix multiplication based on luts for efficient inference in large-scale generative language models
G Park, B Park, M Kim, S Lee, J Kim, B Kwon, SJ Kwon, B Kim, Y Lee, ...
arXiv preprint arXiv:2206.09557, 2022
622022
Efficient parallel architecture for linear feedback shift registers
J Jung, H Yoo, Y Lee, IC Park
IEEE Transactions on Circuits and Systems II: Express Briefs 62 (11), 1068-1072, 2015
452015
Low-complexity tree architecture for finding the first two minima
Y Lee, B Kim, J Jung, IC Park
IEEE Transactions on Circuits and Systems II: Express Briefs 62 (1), 61-64, 2014
392014
High-throughput and low-complexity BCH decoding architecture for solid-state drives
Y Lee, H Yoo, I Yoo, IC Park
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 22 (5 …, 2013
392013
Low-complexity parallel Chien search structure using two-dimensional optimization
Y Lee, H Yoo, IC Park
IEEE Transactions on Circuits and Systems II: Express Briefs 58 (8), 522-526, 2011
372011
Design and analysis of approximate compressors for balanced error accumulation in mac operator
G Park, J Kung, Y Lee
IEEE Transactions on Circuits and Systems I: Regular Papers 68 (7), 2950-2961, 2021
332021
A 2.74-pJ/bit, 17.7-Gb/s iterative concatenated-BCH decoder in 65-nm CMOS for NAND flash memory
Y Lee, H Yoo, J Jung, J Jo, IC Park
IEEE Journal of Solid-State Circuits 48 (10), 2531-2540, 2013
322013
7.3 Gb/s universal BCH encoder and decoder for SSD controllers
H Yoo, Y Lee, IC Park
2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC), 37-38, 2014
302014
Approximate LSTM Computing for Energy-Efficient Speech Recognition
J Jo, J Kung, Y Lee
Electronics 9 (12), 2004, 2020
282020
Memory-Reduced Network Stacking for Edge-Level CNN Architecture With Structured Weight Pruning
S Moon, Y Byun, J Park, S Lee, Y Lee
IEEE Journal on Emerging and Selected Topics in Circuits and Systems 9 (4 …, 2019
202019
Small-area parallel syndrome calculation for strong BCH decoding
Y Lee, H Yoo, IC Park
2012 IEEE International Conference on Acoustics, Speech and Signal …, 2012
182012
FPGA-based real-time lane detection for advanced driver assistance systems
S Hwang, Y Lee
2016 IEEE Asia Pacific Conference on Circuits and Systems (APCCAS), 218-219, 2016
172016
Area and energy efficient 802.11 ad LDPC decoding processor
M Li, Y Lee, Y Huang, L Van der Perre
Electronics Letters 51 (4), 339-341, 2015
162015
GROW: A Row-Stationary Sparse-Dense GEMM Accelerator for Memory-Efficient Graph Convolutional Neural Networks
R Hwang, M Kang, J Lee, D Kam, Y Lee, M Rhu
2023 IEEE International Symposium on High-Performance Computer Architecture …, 2023
152023
Massive MIMO Systems With Low-Resolution ADCs: Baseband Energy Consumption vs. Symbol Detection Performance
S Moon, IS Kim, D Kam, DW Jee, J Choi, Y Lee
IEEE Access 7, 6650-6660, 2019
152019
Area-efficient syndrome calculation for strong BCH decoding
H Yoo, Y Lee, IC Park
Electronics letters 47 (2), 1, 2011
142011
FPGA-based sparsity-aware CNN accelerator for noise-resilient edge-level image recognition
S Moon, H Lee, Y Byun, J Park, J Joe, S Hwang, S Lee, Y Lee
2019 IEEE Asian Solid-State Circuits Conference (A-SSCC), 205-208, 2019
132019
Multi-Mode LSTM Network for Energy-Efficient Speech Recognition
J Jo, S Hwang, S Lee, Y Lee
2018 International SoC Design Conference (ISOCC), 133-134, 2018
132018
Area-Optimized Fully-Flexible BCH Decoder for Multiple GF Dimensions
B Park, J Park, Y Lee
IEEE Access 6, 14498-14509, 2018
132018
The system can't perform the operation now. Try again later.
Articles 1–20