Follow
Yixin Xu
Yixin Xu
PhD student of Computer Science and Engineering, Pennsylvania State University
Verified email at psu.edu
Title
Cited by
Cited by
Year
On the write schemes and efficiency of FeFET 1T NOR array for embedded nonvolatile memory and beyond
Y Xiao, Y Xu, Z Jiang, S Deng, Z Zhao, A Mallick, L Sun, R Joshi, X Li, ...
2022 International Electron Devices Meeting (IEDM), 13.6. 1-13.6. 4, 2022
112022
Hardware functional obfuscation with ferroelectric active interconnects
T Yu, Y Xu, S Deng, Z Zhao, N Jao, YS Kim, S Duenkel, S Beyer, K Ni, ...
Nature communications 13 (1), 2235, 2022
112022
Cmos-compatible ising machines built using bistable latches coupled through ferroelectric transistor arrays
A Mallick, Z Zhao, MK Bashar, S Alam, MM Islam, Y Xiao, Y Xu, A Aziz, ...
Scientific reports 13 (1), 1515, 2023
82023
Adaptive circuit approaches to low-power multi-level/cell FeFET memory
J Wu, Y Xu, B Xue, Y Wang, Y Liu, H Yang, X Li
2020 25th Asia and South Pacific Design Automation Conference (ASP-DAC), 407-413, 2020
82020
Compact ferroelectric programmable majority gate for compute-in-memory applications
S Deng, M Benkhelifa, S Thomann, Z Faris, Z Zhao, TJ Huang, Y Xu, ...
2022 International Electron Devices Meeting (IEDM), 36.7. 1-36.7. 4, 2022
72022
On the feasibility of 1t ferroelectric FET memory array
Z Jiang, Z Zhao, S Deng, Y Xiao, Y Xu, H Mulaosmanovic, S Duenkel, ...
IEEE Transactions on Electron Devices 69 (12), 6722-6730, 2022
62022
Quasi-nondestructive read out of ferroelectric capacitor polarization by exploiting a 2tnc cell to relax the endurance requirement
Y Xiao, S Deng, Z Zhao, Z Faris, Y Xu, TJ Huang, V Narayanan, K Ni
IEEE Electron Device Letters, 2023
32023
FeFET-based logic-in-memory supporting SA-free write-back and fully dynamic access with reduced bitline charging activity and recycled bitline charge
W Tang, M Lee, J Wu, Y Xu, Y Yu, Y Liu, K Ni, Y Wang, H Yang, ...
IEEE Transactions on Circuits and Systems I: Regular Papers, 2023
32023
Ferroelectric FET-based context-switching FPGA enabling dynamic reconfiguration for adaptive deep learning machines
Y Xu, Z Zhao, Y Xiao, T Yu, H Mulaosmanovic, D Kleimaier, S Duenkel, ...
Science Advances 10 (3), eadk1525, 2024
22024
Powering disturb-free reconfigurable computing and tunable analog electronics with dual-port ferroelectric FET
Z Zhao, S Deng, S Chatterjee, Z Jiang, MS Islam, Y Xiao, Y Xu, ...
ACS Applied Materials & Interfaces 15 (47), 54602-54610, 2023
22023
WeightLock: A mixed-grained weight encryption approach using local decrypting units for ciphertext computing in DNN accelerators
J Wang, Z Chen, Y Chen, Y Xu, T Wang, Y Yu, V Narayanan, S George, ...
2023 IEEE 5th International Conference on Artificial Intelligence Circuits …, 2023
22023
Victor: A variation-resilient approach using cell-clustered charge-domain computing for high-density high-throughput MLC CiM
M Lee, W Tang, Y Chen, J Wu, H Zhong, Y Xu, Y Liu, H Yang, ...
2023 60th ACM/IEEE Design Automation Conference (DAC), 1-6, 2023
12023
A Compact Ferroelectric 2T-(n+ 1) C Cell to Implement AND-OR Logic in Memory
Y Xiao, Y Xu, S Deng, Z Zhao, S George, K Ni, V Narayanan
2023 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 1-6, 2023
12023
A Module-Level Configuration Methodology for Programmable Camouflaged Logic
J Wang, Z Chen, J Zhang, Y Xu, T Yu, Z Zheng, E Ye, S George, H Yang, ...
ACM Transactions on Design Automation of Electronic Systems, 2024
2024
Embedding security into ferroelectric FET array via in situ memory operation
Y Xu, Y Xiao, Z Zhao, F Müller, A Vardar, X Gong, S George, T Kämpfe, ...
Nature Communications 14 (1), 8287, 2023
2023
In-Situ Encrypted NAND FeFET Array for Secure Storage and Compute-in-Memory
Z Zhao, Y Xu, J Read, PK Hsu, Y Qin, TJ Huang, S Lim, K Kim, K Kim, ...
2023 International Electron Devices Meeting (IEDM), 1-4, 2023
2023
ProtFe: Low-Cost Secure Power Side-Channel Protection for General and Custom FeFET-Based Memories
T Li, B Sun, H Zhong, Y Xu, V Narayanan, L Shi, T Wang, Y Yu, T Kämpfe, ...
ACM Transactions on Design Automation of Electronic Systems 29 (1), 1-18, 2023
2023
Lowering Latency of Embedded Memory by Exploiting In-Cell Victim Cache Hierarchy Based on Emerging Multi-Level Memory Devices
J Wu, T Liao, T Li, Y Xu, V Narayanan, Y Liu, H Yang, X Li
2023 IEEE/ACM International Conference on Computer Aided Design (ICCAD), 1-9, 2023
2023
ASMCap: An Approximate String Matching Accelerator for Genome Sequence Analysis Based on Capacitive Content Addressable Memory
H Zhong, Z Chen, W Huangfu, C Wang, Y Xu, T Wang, Y Yu, Y Liu, ...
2023 60th ACM/IEEE Design Automation Conference (DAC), 1-6, 2023
2023
Fe-GCN: A 3D FeFET Memory Based PIM Accelerator for Graph Convolutional Networks
H Zhong, Y Zhu, L Luo, T Li, C Wang, Y Xu, T Wang, Y Yu, V Narayanan, ...
2023 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 1-6, 2023
2023
The system can't perform the operation now. Try again later.
Articles 1–20