Follow
Marian Verhelst
Marian Verhelst
Micas - ESAT - KU Leuven, Belgium
Verified email at esat.kuleuven.be
Title
Cited by
Cited by
Year
Envision: A 0.26-to-10TOPS/W subword-parallel dynamic-voltage-accuracy-frequency-scalable Convolutional Neural Network processor in 28nm FDSOI
B Moons, R Uytterhoeven, W Dehaene, M Verhelst
Solid-State Circuits Conference (ISSCC), 2017 IEEE International, 246-247, 2017
488*2017
An Always-On 3.8 J/86% CIFAR-10 Mixed-Signal Binary CNN Processor With All Memory on Chip in 28-nm CMOS
D Bankman, L Yang, B Moons, M Verhelst, B Murmann
IEEE Journal of Solid-State Circuits 54 (1), 158-172, 2018
3192018
A review on Internet of Things solutions for intelligent energy control in buildings for smart city applications
I Khajenasiri, A Estebsari, M Verhelst, G Gielen
Energy Procedia 111, 770-779, 2017
2722017
Benchmarking tinyml systems: Challenges and direction
CR Banbury, VJ Reddi, M Lam, W Fu, A Fazel, J Holleman, X Huang, ...
arXiv preprint arXiv:2003.04821, 2020
2362020
Wireless communication technology, apparatuses, and methods
E Alpman, AL Amadjikpe, O Asaf, K Azadet, R Banin, M Baryakh, A Bazov, ...
US Patent 11,424,539, 2022
2252022
A 0.3–2.6 TOPS/W precision-scalable processor for real-time large-scale ConvNets
B Moons, M Verhelst
2016 IEEE Symposium on VLSI Circuits (VLSI-Circuits), 1-2, 2016
2202016
An energy-efficient precision-scalable ConvNet processor in 40-nm CMOS
B Moons, M Verhelst
IEEE Journal of solid-state Circuits 52 (4), 903-914, 2016
1942016
Embedded deep neural network processing: Algorithmic and processor techniques bring deep learning to iot and edge devices
M Verhelst, B Moons
IEEE Solid-State Circuits Magazine 9 (4), 55-65, 2017
1752017
Minimum energy quantized neural networks
B Moons, K Goetschalckx, N Van Berckelaer, M Verhelst
2017 51st Asilomar Conference on Signals, Systems, and Computers, 1921-1925, 2017
1532017
Energy-efficient convnets through approximate computing
B Moons, B De Brabandere, L Van Gool, M Verhelst
2016 IEEE Winter Conference on Applications of Computer Vision (WACV), 1-8, 2016
1372016
The SINS database for detection of daily activities in a home environment using an acoustic sensor network
G Dekkers, S Lauwereins, B Thoen, MW Adhana, H Brouckxon, ...
Detection and Classification of Acoustic Scenes and Events 2017, 1-5, 2017
1332017
A 90 nm CMOS, $6\{\upmu {\text {W}}} $ Power-Proportional Acoustic Sensing Frontend for Voice Activity Detection
KMH Badami, S Lauwereins, W Meert, M Verhelst
IEEE Journal of Solid-State Circuits 51 (1), 291-302, 2015
1252015
BinarEye: An always-on energy-accuracy-scalable binary CNN processor with all memory on chip in 28nm CMOS
B Moons, D Bankman, L Yang, B Murmann, M Verhelst
2018 IEEE Custom Integrated Circuits Conference (CICC), 1-4, 2018
1192018
A 172µW compressive sampling photoplethysmographic readout with embedded direct heart-rate and variability extraction from compressively sampled data
VR Pamula, JM Valero-Sarmiento, L Yan, A Bozkurt, C Van Hoof, ...
IEEE Transactions on Biomedical Circuits and Systems 11 (3), 487-496, 2017
113*2017
A 2.4-GHz 20–40-MHz channel WLAN digital outphasing transmitter utilizing a delay-based wideband phase modulator in 32-nm CMOS
A Ravi, P Madoglio, H Xu, K Chandrashekar, M Verhelst, S Pellerano, ...
IEEE Journal of Solid-State Circuits 47 (12), 3184-3196, 2012
1082012
Where analog meets digital: Analog? to? information conversion and beyond
M Verhelst, A Bahai
IEEE Solid-state circuits magazine 7 (3), 67-80, 2015
1052015
A CMOS ultra-wideband receiver for low data-rate communication
J Ryckaert, M Verhelst, M Badaroglu, S D'Amico, V De Heyn, C Desset, ...
IEEE Journal of Solid-State Circuits 42 (11), 2515-2527, 2007
872007
Energy-efficiency and accuracy of stochastic computing circuits in emerging technologies
B Moons, M Verhelst
IEEE Journal on Emerging and Selected Topics in Circuits and Systems 4 (4 …, 2014
862014
ZigZag: Enlarging joint architecture-mapping design space exploration for DNN accelerators
L Mei, P Houshmand, V Jain, S Giraldo, M Verhelst
IEEE Transactions on Computers 70 (8), 1160-1174, 2021
842021
Vocell: A 65-nm Speech-Triggered Wake-Up SoC for 10- W Keyword Spotting and Speaker Verification
JSP Giraldo, S Lauwereins, K Badami, M Verhelst
IEEE Journal of Solid-State Circuits 55 (4), 868-878, 2020
792020
The system can't perform the operation now. Try again later.
Articles 1–20