Follow
Kaiyuan Yang
Title
Cited by
Cited by
Year
A2: Analog malicious hardware
K Yang, M Hicks, Q Dong, T Austin, D Sylvester
2016 IEEE symposium on security and privacy (SP) (Best Paper Award), 18-37, 2016
2992016
16.3 A 23Mb/s 23pJ/b fully synthesized true-random-number generator in 28nm and 65nm CMOS
K Yang, D Fick, MB Henry, Y Lee, D Blaauw, D Sylvester
2014 IEEE International Solid-State Circuits Conference (ISSCC) Digest of …, 2014
1562014
14.2 A physically unclonable function with BER< 10 for robust chip authentication using oscillator collapse in 40nm CMOS
K Yang, Q Dong, D Blaauw, D Sylvester
2015 IEEE International Solid-State Circuits Conference (ISSCC), 1-3, 2015
1542015
An All-Digital Edge Racing True Random Number Generator Robust Against PVT Variations
K Yang, D Blaauw, D Sylvester
IEEE Journal of Solid-State Circuits 51 (4), 1022-1031, 2016
1352016
8.3 A 553F 2-transistor amplifier-based Physically Unclonable Function (PUF) with 1.67% native instability
K Yang, Q Dong, D Blaauw, D Sylvester
2017 IEEE International Solid-State Circuits Conference (ISSCC), 146-147, 2017
1092017
9.2 A 0.6 nJ− 0.22/+ 0.19 C inaccuracy temperature sensor using exponential subthreshold oscillation dependence
K Yang, Q Dong, W Jung, Y Zhang, M Choi, D Blaauw, D Sylvester
2017 IEEE International Solid-State Circuits Conference (ISSCC), 160-161, 2017
1022017
A wireless millimetric magnetoelectric implant for the endovascular stimulation of peripheral nerves
JC Chen, P Kan, Z Yu, F Alrashdan, R Garcia, A Singer, CSE Lai, ...
Nature Biomedical Engineering 6 (6), 706-716, 2022
972022
15.4 b incremental sigma-delta capacitance-to-digital converter with zoom-in 9b asynchronous SAR
S Oh, W Jung, K Yang, D Blaauw, D Sylvester
2014 Symposium on VLSI Circuits Digest of Technical Papers, 1-2, 2014
872014
Recent Advances in Electrical Neural Interface Engineering: Minimal Invasiveness, Longevity, and Scalability
L Luan, JT Robinson, B Aazhang, T Chi, K Yang, X Li, H Rathore, ...
Neuron 108 (2), 302-321, 2020
862020
Hardware Designs for Security in Ultra-Low-Power IoT Systems: An Overview and Survey
K Yang, D Blaauw, D Sylvester
IEEE Micro 37 (6), 72-89, 2017
842017
iRazor: Current-Based Error Detection and Correction Scheme for PVT Variation in 40-nm ARM Cortex-R4 Processor
Y Zhang, M Khayatzadeh, K Yang, M Saligane, N Pinckney, M Alioto, ...
IEEE Journal of Solid-State Circuits 53 (2), 619-631, 2018
792018
A 114-pW PMOS-only, trim-free voltage reference with 0.26% within-wafer inaccuracy for nW systems
Q Dong, K Yang, D Blaauw, D Sylvester
2016 IEEE Symposium on VLSI Circuits (VLSI-Circuits), 1-2, 2016
712016
A 20-pW Discontinuous Switched-Capacitor Energy Harvester for Smart Sensor Applications
X Wu, Y Shi, S Jeloka, K Yang, I Lee, Y Lee, D Sylvester, D Blaauw
IEEE Journal of Solid-State Circuits 52 (4), 972-984, 2017
672017
A sequence dependent challenge-response PUF using 28nm SRAM 6T bit cell
S Jeloka, K Yang, M Orshansky, D Sylvester, D Blaauw
2017 Symposium on VLSI Circuits, C270-C271, 2017
662017
8.8 iRazor: 3-transistor current-based error detection and correction in an ARM cortex-R4 processor
Y Zhang, M Khayatzadeh, K Yang, M Saligane, N Pinckney, M Alioto, ...
2016 IEEE International Solid-State Circuits Conference (ISSCC), 160-162, 2016
592016
CAP-RAM: A Charge-Domain In-Memory Computing 6T-SRAM for Accurate and Precision-Programmable CNN Inference
Z Chen, Z Yu, Q Jin, Y He, J Wang, S Lin, D Li, Y Wang, K Yang
IEEE Journal of Solid-State Circuits 56 (6), 1924-1935, 2021
572021
8.5 A 60%-efficiency 20nW-500µW tri-output fully integrated power management unit with environmental adaptation and load-proportional biasing for IoT systems
W Jung, J Gu, PD Myers, M Shim, S Jeong, K Yang, M Choi, ZY Foo, ...
2016 IEEE International Solid-State Circuits Conference (ISSCC), 154-155, 2016
542016
A 0.04MM316NW Wireless and Batteryless Sensor System with Integrated Cortex-M0+ Processor and Optical Communication for Cellular Temperature Measurement
X Wu, I Lee, Q Dong, K Yang, D Kim, J Wang, Y Peng, Y Zhang, ...
2018 IEEE Symposium on VLSI Circuits, 191-192, 2018
512018
A compact 446 Gbps/W AES accelerator for mobile SoC and IoT in 40nm
Y Zhang, K Yang, M Saligane, D Blaauw, D Sylvester
2016 IEEE symposium on VLSI circuits (VLSI-circuits), 1-2, 2016
502016
A 28NM Integrated True Random Number Generator Harvesting Entropy from MRAM
K Yang, Q Dong, Z Wang, YC Shih, YD Chih, J Chang, D Blaauw, ...
2018 IEEE Symposium on VLSI Circuits, 171-172, 2018
472018
The system can't perform the operation now. Try again later.
Articles 1–20