Follow
Shuang Liang
Shuang Liang
PhD student in Institute of Microelectronics, Tsinghua University
Verified email at mails.tsinghua.edu.cn
Title
Cited by
Cited by
Year
FP-BNN: Binarized neural network on FPGA
S Liang, S Yin, L Liu, W Luk, S Wei
Neurocomputing 275, 1072-1086, 2018
3222018
DNNVM: End-to-end compiler leveraging heterogeneous optimizations on FPGA-based CNN accelerators
Y Xing, S Liang, L Sui, X Jia, J Qiu, X Liu, Y Wang, Y Shan, Y Wang
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2019
752019
Evaluating efficient performance estimators of neural architectures
X Ning, C Tang, W Li, Z Zhou, S Liang, H Yang, Y Wang
Advances in Neural Information Processing Systems 34, 12265-12277, 2021
712021
Real-time object detection and semantic segmentation hardware system with deep learning networks
S Fang, L Tian, J Wang, S Liang, D Xie, Z Chen, L Sui, Q Yu, X Sun, ...
2018 International conference on field-programmable technology (FPT), 389-392, 2018
232018
Compressed CNN training with FPGA-based accelerator
K Guo, S Liang, J Yu, X Ning, W Li, Y Wang, H Yang
Proceedings of the 2019 ACM/SIGDA International Symposium on Field …, 2019
212019
Reconfigurable processor for deep learning in autonomous vehicles
Y Wang, S Liang, S Yao, Y Shan, S Han, J Peng, H Luo
ITU Journal: ICT Discoveries 1, 2017
162017
A coarse-grained reconfigurable architecture for compute-intensive MapReduce acceleration
S Liang, S Yin, L Liu, Y Guo, S Wei
IEEE Computer Architecture Letters 15 (2), 69-72, 2015
142015
A surgery of the neural architecture evaluators
X Ning14, W Li, Z Zhou, T Zhao24, Y Zheng, S Liang14, H Yang, Y Wang
arXiv preprint arXiv:2008.03064, 2020
122020
On-chip instruction generation for cross-layer CNN accelerator on FPGA
Y Hu, S Liang, J Yu, Y Wang, H Yang
2019 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 7-12, 2019
102019
DNNVM: End-to-end compiler leveraging operation fusion on FPGA-based CNN accelerators
Y Xing, S Liang, L Sui, Z Zhang, J Qiu, X Jia, X Liu, Y Wang, Y Shan, ...
Proceedings of the 2019 ACM/SIGDA International Symposium on Field …, 2019
72019
Bars: Joint search of cell topology and layout for accurate and efficient binary architectures
T Zhao, X Ning, X Shi, S Yang, S Liang, P Lei, J Chen, H Yang, Y Wang
arXiv preprint arXiv:2011.10804, 2020
62020
A fine-grained sparse accelerator for multi-precision DNN
S Zeng, Y Lin, S Liang, J Kang, D Xie, Y Shan, S Han, Y Wang, H Yang
Proceedings of the 2019 ACM/SIGDA International Symposium on Field …, 2019
62019
The evolution of deep learning accelerators upon the evolution of deep learning algorithms
S Yao, S Liang, Y Wang, Z Chen, S Fang, L Sui, Q Yu, D Xie, X Sun, ...
Proc. HotChips, 1-17, 2018
62018
TA-GATES: An Encoding Scheme for Neural Network Architectures
X Ning, Z Zhou, J Zhao, T Zhao, Y Deng, C Tang, S Liang, H Yang, ...
Advances in Neural Information Processing Systems 35, 32325-32339, 2022
52022
aw_nas: A modularized and extensible nas framework
X Ning, C Tang, W Li, S Yang, T Zhao, N Zhang, T Lu, S Liang, H Yang, ...
arXiv preprint arXiv:2012.10388, 2020
42020
Relation-oriented resource allocation for multi-accelerator systems
T Yu, B Feng, M Stillwell, JGF Coutinho, W Zhao, S Liang, W Luk, AL Wolf, ...
2016 IEEE 27th International Conference on Application-specific Systems …, 2016
32016
Multi-Agent Vulnerability Discovery for Autonomous Driving with Hazard Arbitration Reward
W Liu, Y Mu, C Yu, X Ning, Z Cao, Y Wu, S Liang, H Yang, Y Wang
arXiv preprint arXiv:2112.06185, 2021
22021
Efficient Computing Platform Design for Autonomous Driving Systems
S Liang, C Tang, X Ning, S Zeng, J Yu, Y Wang, K Guo, D Yang, T Lu, ...
Proceedings of the 26th Asia and South Pacific Design Automation Conference …, 2021
22021
The implementation of texture-based video up-scaling on coarse-grained reconfigurable architecture
R Shi, S Yin, L Liu, Q Liu, S Liang, S Wei
IEICE TRANSACTIONS on Information and Systems 98 (2), 276-287, 2015
22015
Efficient Autonomous Driving System Design: From Software to Hardware
Y Wang, S Zeng, K Guo, X Ning, Y Zhao, Z Qiu, C Tang, S Liang, H Yang
2022 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 373-375, 2022
2022
The system can't perform the operation now. Try again later.
Articles 1–20