Follow
Nelson Felix
Nelson Felix
cornell university
Verified email at us.ibm.com
Title
Cited by
Cited by
Year
Stacked nanosheet gate-all-around transistor to enable scaling beyond FinFET
N Loubet, T Hook, P Montanini, CW Yeung, S Kanakasabapathy, ...
2017 symposium on VLSI technology, T230-T231, 2017
7682017
A 7nm FinFET technology featuring EUV patterning and dual strained high mobility channels
R Xie, P Montanini, K Akarvardar, N Tripathi, B Haran, S Johnson, T Hook, ...
2016 IEEE international electron devices meeting (IEDM), 2.7. 1-2.7. 4, 2016
1742016
Molecular glass resists for high-resolution patterning
J Dai, SW Chang, A Hamad, D Yang, N Felix, CK Ober
Chemistry of materials 18 (15), 3404-3411, 2006
1452006
Sub-50 nm feature sizes using positive tone molecular glass resists for EUV lithography
SW Chang, R Ayothi, D Bratton, D Yang, N Felix, HB Cao, H Deng, ...
Journal of Materials Chemistry 16 (15), 1470-1474, 2006
1102006
Directed self-assembly of block copolymers for 7 nanometre FinFET technology and beyond
CC Liu, E Franke, Y Mignot, R Xie, CW Yeung, J Zhang, C Chi, C Zhang, ...
Nature Electronics 1 (10), 562-569, 2018
1022018
Molecular Glass Resists as High‐Resolution Patterning Materials
A De Silva, NM Felix, CK Ober
Advanced Materials 20 (17), 3355-3361, 2008
992008
Study of the Structure− Properties Relationship of Phenolic Molecular Glass Resists for Next Generation Photolithography
A De Silva, JK Lee, X André, NM Felix, HB Cao, H Deng, CK Ober
Chemistry of Materials 20 (4), 1606-1613, 2008
722008
FINFET technology featuring high mobility SiGe channel for 10nm and beyond
D Guo, G Karve, G Tsutsui, KY Lim, R Robison, T Hook, R Vega, D Liu, ...
2016 IEEE Symposium on VLSI Technology, 1-2, 2016
652016
High‐Resolution Patterning of Molecular Glasses Using Supercritical Carbon Dioxide
NM Felix, K Tsuchiya, CK Ober
Advanced materials 18 (4), 442-446, 2006
592006
Characterization of wafer geometry and overlay error on silicon wafers with nonuniform stress
TA Brunner, VC Menon, CW Wong, O Gluschenkov, MP Belyansky, ...
Journal of Micro/Nanolithography, MEMS, and MOEMS 12 (4), 043002-043002, 2013
552013
Phenolic molecular glasses as resists for next-generation lithography
X André, JK Lee, A De Silva, N Felix, CK Ober, HB Cao, H Deng, H Kudo, ...
Advances in Resist Materials and Processing Technology XXIV 6519, 1291-1300, 2007
482007
Lithography based on molecular glasses
K Tsuchiya, SW Chang, NM Felix, M Ueda, CK Ober
Journal of Photopolymer Science and Technology 18 (3), 431-434, 2005
412005
Towards all-dry lithography: Electron-beam patternable poly (glycidyl methacrylate) thin films from hot filament chemical vapor deposition
Y Mao, NM Felix, PT Nguyen, CK Ober, KK Gleason
Journal of Vacuum Science & Technology B: Microelectronics and Nanometer …, 2004
402004
EUV patterning successes and frontiers
N Felix, D Corliss, K Petrillo, N Saulnier, Y Xu, L Meli, H Tang, A De Silva, ...
Extreme Ultraviolet (EUV) Lithography VII 9776, 480-486, 2016
392016
Physical vapor deposition of molecular glass photoresists: a new route to chemically amplified patterning
F Pfeiffer, NM Felix, C Neuber, CK Ober, HW Schmidt
Advanced Functional Materials 17 (14), 2336-2342, 2007
382007
Materials for future lithography
SW Chang, D Yang, J Dai, N Felix, D Bratton, K Tsuchiya, YJ Kwark, ...
Advances in Resist Technology and Processing XXII 5753, 1-9, 2005
362005
Materials for future lithography
SW Chang, D Yang, J Dai, N Felix, D Bratton, K Tsuchiya, YJ Kwark, ...
Advances in Resist Technology and Processing XXII 5753, 1-9, 2005
362005
Molecular glass resists for next generation lithography
D Bratton, R Ayothi, N Felix, H Cao, H Deng, CK Ober
Advances in Resist Technology and Processing XXIII 6153, 467-475, 2006
312006
Overlay improvement roadmap: strategies for scanner control and product disposition for 5-nm overlay
NM Felix, AH Gabor, VC Menon, PP Longo, SD Halle, C Koay, ...
Metrology, Inspection, and Process Control for Microlithography XXV 7971 …, 2011
292011
Calix [4] resorcinarene Derivatives as High‐Resolution Resist Materials for Supercritical CO2 Processing
NM Felix, A De Silva, CK Ober
Advanced Materials 20 (7), 1303-1309, 2008
282008
The system can't perform the operation now. Try again later.
Articles 1–20