Follow
Yongan Zhang
Title
Cited by
Cited by
Year
HW-NAS-Bench: Hardware-Aware Neural Architecture Search Benchmark
C Li, Z Yu, Y Fu, Y Zhang, Y Zhao, H You, Q Yu, Y Wang, Y Lin
International Conference on Learning Representations (ICLR 2021 Spotlight), 2021
1042021
AutoDNNchip: An automated dnn chip predictor and builder for both FPGAs and ASICs
P Xu, X Zhang, C Hao, Y Zhao, Y Zhang, Y Wang, C Li, Z Guan, D Chen, ...
Proceedings of the 2020 ACM/SIGDA International Symposium on Field …, 2020
992020
I-GCN: A Graph Convolutional Network Accelerator with Runtime Locality Enhancement through Islandization
T Geng, C Wu, Y Zhang, C Tan, C Xie, H You, M Herbordt, Y Lin, A Li
MICRO-54: 54th Annual IEEE/ACM International Symposium on Microarchitecture …, 2021
772021
Shiftaddnet: A hardware-inspired deep network
H You, X Chen, Y Zhang, C Li, S Li, Z Liu, Z Wang, Y Lin
Advances in Neural Information Processing Systems 33, 2771-2783, 2020
702020
DNN-chip predictor: An analytical performance predictor for DNN accelerators with various dataflows and hardware architectures
Y Zhao, C Li, Y Wang, P Xu, Y Zhang, Y Lin
ICASSP 2020-2020 IEEE International Conference on Acoustics, Speech and …, 2020
452020
Gcod: Graph convolutional network acceleration via dedicated algorithm and accelerator co-design
H You, T Geng, Y Zhang, A Li, Y Lin
2022 IEEE International Symposium on High-Performance Computer Architecture …, 2022
332022
G-CoS: GNN-Accelerator Co-Search Towards Both Better Accuracy and Efficiency
Y Zhang, H You, Y Fu, T Geng, A Li, Y Lin
2021 IEEE/ACM International Conference On Computer Aided Design (ICCAD), 1-9, 2021
192021
Auto-NBA: Efficient and effective search over the joint space of networks, bitwidths, and accelerators
Y Fu, Y Zhang, Y Zhang, D Cox, Y Lin
International Conference on Machine Learning, 3505-3517, 2021
172021
Dna: Differentiable network-accelerator co-search
Y Zhang, Y Fu, W Jiang, C Li, H You, M Li, V Chandra, Y Lin
arXiv preprint arXiv:2010.14778, 2020
162020
GPT4AIGChip: Towards Next-Generation AI Accelerator Design Automation via Large Language Models
Y Fu, Y Zhang, Z Yu, S Li, Z Ye, C Li, C Wan, YC Lin
2023 IEEE/ACM International Conference on Computer Aided Design (ICCAD), 1-9, 2023
112023
O-HAS: Optical Hardware Accelerator Search for Boosting Both Acceleration Performance and Development Speed
M Li, Z Yu, Y Zhang, Y Fu, Y Lin
2021 IEEE/ACM International Conference On Computer Aided Design (ICCAD), 1-9, 2021
82021
DIAN: Differentiable accelerator-network co-search towards maximal dnn efficiency
Y Zhang, Y Fu, W Jiang, C Li, H You, M Li, V Chandra, Y Lin
2021 IEEE/ACM International Symposium on Low Power Electronics and Design …, 2021
72021
Auto-Agent-Distiller: Towards Efficient Deep Reinforcement Learning Agents via Neural Architecture Search
Y Fu, Z Yu, Y Zhang, Y Lin
arXiv preprint arXiv:2012.13091, 2020
62020
EyeCoD: eye tracking system acceleration via flatcam-based algorithm & accelerator co-design
H You, C Wan, Y Zhao, Z Yu, Y Fu, J Yuan, S Wu, S Zhang, Y Zhang, C Li, ...
Proceedings of the 49th Annual International Symposium on Computer …, 2022
52022
i-FlatCam: A 253 FPS, 91.49 µJ/Frame Ultra-Compact Intelligent Lensless Camera for Real-Time and Efficient Eye Tracking in VR/AR
Y Zhao, Z Li, Y Fu, Y Zhang, C Li, C Wan, H You, S Wu, X Ouyang, ...
2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and …, 2022
52022
FCsN: A FPGA-Centric SmartNIC Framework for Neural Networks
A Guo, T Geng, Y Zhang, P Haghi, C Wu, C Tan, Y Lin, A Li, M Herbordt
2022 IEEE 30th Annual International Symposium on Field-Programmable Custom …, 2022
52022
A3C-S: Automated Agent Accelerator Co-Search towards Efficient Deep Reinforcement Learning
Y Fu, Y Zhang, C Li, Z Yu, Y Lin
2021 58th ACM/IEEE Design Automation Conference (DAC), 13-18, 2021
52021
e-G2C: A 0.14-to-8.31 µJ/Inference NN-based Processor with Continuous On-chip Adaptation for Anomaly Detection and ECG Conversion from EGM
Y Zhao, Y Zhang, Y Fu, X Ouyang, C Wan, S Wu, A Banta, MM John, ...
2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and …, 2022
42022
RT-RCG: Neural network and accelerator search towards effective and real-time ECG reconstruction from intracardiac electrograms
Y Zhang, A Banta, Y Fu, MM John, A Post, M Razavi, J Cavallaro, ...
ACM Journal on Emerging Technologies in Computing Systems (JETC) 18 (2), 1-25, 2022
42022
InstantNet: Automated Generation and Deployment of Instantaneously Switchable-Precision Networks
Y Fu, Z Yu, Y Zhang, Y Jiang, C Li, Y Liang, M Jiang, Z Wang, Y Lin
2021 58th ACM/IEEE Design Automation Conference (DAC), 757-762, 2021
42021
The system can't perform the operation now. Try again later.
Articles 1–20