Follow
Shuo-Han Chen
Shuo-Han Chen
National Yang Ming Chiao Tung University
Verified email at nycu.edu.tw - Homepage
Title
Cited by
Cited by
Year
Enabling sub-blocks erase management to boost the performance of 3D NAND flash memory
TY Chen, YH Chang, CC Ho, SH Chen
2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC), 2016
342016
Modular neural networks for low-power image classification on embedded devices
A Goel, S Aghajanzadeh, C Tung, SH Chen, GK Thiruvathukal, YH Lu
ACM Transactions on Design Automation of Electronic Systems (TODAES) 26 (1 …, 2020
242020
Enabling write-reduction strategy for journaling file systems over byte-addressable NVRAM
TY Chen, YH Chang, SH Chen, CC Kuo, MC Yang, HW Wei, WK Shih
Proceedings of the 54th Annual Design Automation Conference 2017, 1-6, 2017
222017
Boosting the performance of 3D charge trap NAND flash with asymmetric feature process size characteristic
SH Chen, YT Chen, HW Wei, WK Shih
Proceedings of the 54th Annual Design Automation Conference 2017, 1-6, 2017
202017
Crowdsourcing detection of sampling biases in image datasets
X Hu, H Wang, A Vegesana, S Dube, K Yu, G Kao, SH Chen, YH Lu, ...
Proceedings of The Web Conference 2020, 2955-2961, 2020
172020
An erase efficiency boosting strategy for 3D charge trap NAND flash
SH Chen, YH Chang, YP Liang, HW Wei, WK Shih
IEEE Transactions on Computers 67 (9), 1246-1258, 2018
172018
wrJFS: A write-reduction journaling file system for byte-addressable NVRAM
TY Chen, YH Chang, SH Chen, CC Kuo, MC Yang, HW Wei, WK Shih
IEEE Transactions on Computers 67 (7), 1023-1038, 2018
172018
Camera placement meeting restrictions of computer vision
S Aghajanzadeh, R Naidu, SH Chen, C Tung, A Goel, YH Lu, ...
2020 IEEE International Conference on Image Processing (ICIP), 3254-3258, 2020
152020
Design a Hash-Based Control Mechanism in vSwitch for Software-Defined Networking Environment
SW Hsu, TY Chen, YC Chang, SH Chen, HC Chao, TY Lin, WK Shih
2015 IEEE International Conference on Cluster Computing, 2015
142015
UnistorFS: A union storage file system design for resource sharing between memory and storage on persistent RAM-based systems
SH Chen, TY Chen, YH Chang, HW Wei, WK Shih
ACM Transactions on Storage (TOS) 14 (1), 1-22, 2018
122018
Enabling union page cache to boost file access performance of NVRAM-based storage device
SH Chen, TY Chen, YH Chang, HW Wei, WK Shih
Proceedings of the 55th Annual Design Automation Conference, 1-6, 2018
112018
Extending sensor network lifetime via wireless charging vehicle with an efficient routing protocol
SH Chen, YC Cheng, CH Lee, SP Wang, HY Chen, TY Chen, HW Wei, ...
SoutheastCon 2016, 1-2, 2016
102016
B*-Sort: Enabling write-once sorting for nonvolatile memory
YP Liang, TY Chen, YH Chang, SH Chen, HW Wei, WK Shih
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2020
92020
Mitigating write amplification issue of SMR drives via the design of sequential-write-constrained cache
YP Liang, SH Chen, YH Chang, YC Lin, HW Wei, WK Shih
Journal of Systems Architecture 99, 101634, 2019
82019
Enabling file-oriented fast secure deletion on shingled magnetic recording drives
SH Chen, MC Yang, YH Chang, CF Wu
Proceedings of the 56th Annual Design Automation Conference 2019, 1-6, 2019
82019
Beyond address mapping: A user-oriented multiregional space management design for 3-D NAND flash memory
SH Chen, CW Tsao, YH Chang
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2019
82019
Prolong Lifetime of Dynamic Sensor Network by an Intelligent Wireless Charging Vehicle
SH Chen, YC Chang, TY Chen, YC Cheng, HW Wei, T Hsu, WK Shih
Vehicular Technology Conference (VTC Fall), 2015 IEEE 82nd, 2015
82015
Enabling Sequential-write-constrained B+-tree Index Scheme to Upgrade Shingled Magnetic Recording Storage Performance
YP Liang, TY Chen, YH Chang, SH Chen, KY Lam, WH Li, WK Shih
ACM Transactions on Embedded Computing Systems (TECS) 18 (5s), 1-20, 2019
72019
Rethinking last-level-cache write-back strategy for MLC STT-RAM main memory with asymmetric write energy
YP Liang, TY Chen, YH Chang, SH Chen, PY Chen, WK Shih
2019 IEEE/ACM International Symposium on Low Power Electronics and Design …, 2019
72019
A new sequential-write-constrained cache management to mitigate write amplification for SMR drives
SH Chen, YC Lin, YH Chang, MC Yang, TY Chen, HW Wei, WK Shih
Proceedings of the 34th ACM/SIGAPP Symposium on Applied Computing, 599-606, 2019
72019
The system can't perform the operation now. Try again later.
Articles 1–20