Follow
Minsoo Rhu
Title
Cited by
Cited by
Year
SCNN: An accelerator for compressed-sparse convolutional neural networks
A Parashar, M Rhu, A Mukkara, A Puglielli, R Venkatesan, B Khailany, ...
ACM SIGARCH computer architecture news 45 (2), 27-40, 2017
13252017
vDNN: Virtualized deep neural networks for scalable, memory-efficient neural network design
M Rhu, N Gimelshein, J Clemons, A Zulfiqar, SW Keckler
2016 49th Annual IEEE/ACM International Symposium on Microarchitecture …, 2016
4522016
Compressing DMA engine: Leveraging activation sparsity for training deep neural networks
M Rhu, M O'Connor, N Chatterjee, J Pool, Y Kwon, SW Keckler
2018 IEEE International Symposium on High Performance Computer Architecture …, 2018
2142018
Tensordimm: A practical near-memory processing architecture for embeddings and tensor operations in deep learning
Y Kwon, Y Lee, M Rhu
Proceedings of the 52nd Annual IEEE/ACM International Symposium on …, 2019
1892019
A locality-aware memory hierarchy for energy-efficient GPU architectures
M Rhu, M Sullivan, J Leng, M Erez
Proceedings of the 46th Annual IEEE/ACM International Symposium on …, 2013
1622013
Prema: A predictive multi-task scheduling algorithm for preemptible neural processing units
Y Choi, M Rhu
2020 IEEE International Symposium on High Performance Computer Architecture …, 2020
1152020
Priority-based cache allocation in throughput processors
D Li, M Rhu, DR Johnson, M O'Connor, M Erez, D Burger, DS Fussell, ...
2015 IEEE 21st International Symposium on High Performance Computer …, 2015
1142015
Architecting an energy-efficient dram system for gpus
N Chatterjee, M O’Connor, D Lee, DR Johnson, SW Keckler, M Rhu, ...
2017 IEEE International Symposium on High Performance Computer Architecture …, 2017
1072017
Bts: An accelerator for bootstrappable fully homomorphic encryption
S Kim, J Kim, MJ Kim, W Jung, J Kim, M Rhu, JH Ahn
Proceedings of the 49th annual international symposium on computer …, 2022
922022
Centaur: A chiplet-based, hybrid sparse-dense accelerator for personalized recommendations
R Hwang, T Kim, Y Kwon, M Rhu
2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture …, 2020
922020
The dual-path execution model for efficient GPU control flow
M Rhu, M Erez
2013 IEEE 19th International Symposium on High Performance Computer …, 2013
842013
CAPRI: Prediction of compaction-adequacy for handling control-divergence in GPGPU architectures
M Rhu, M Erez
ACM SIGARCH Computer Architecture News 40 (3), 61-71, 2012
842012
Beyond the memory wall: A case for memory-centric hpc system for deep learning
Y Kwon, M Rhu
2018 51st Annual IEEE/ACM International Symposium on Microarchitecture …, 2018
792018
Maximizing SIMD resource utilization in GPGPUs with SIMD lane permutation
M Rhu, M Erez
Proceedings of the 40th Annual International Symposium on Computer …, 2013
692013
Lazy batching: An SLA-aware batching system for cloud machine learning inference
Y Choi, Y Kim, M Rhu
2021 IEEE International Symposium on High-Performance Computer Architecture …, 2021
512021
Ark: Fully homomorphic encryption accelerator with runtime data generation and inter-operation key reuse
J Kim, G Lee, S Kim, G Sohn, M Rhu, J Kim, JH Ahn
2022 55th IEEE/ACM International Symposium on Microarchitecture (MICRO …, 2022
482022
GPUVolt: Modeling and characterizing voltage noise in GPU architectures
J Leng, Y Zu, M Rhu, M Gupta, VJ Reddi
Proceedings of the 2014 international symposium on Low power electronics and …, 2014
462014
Trim: Enhancing processor-memory interfaces with scalable tensor reduction in memory
J Park, B Kim, S Yun, E Lee, M Rhu, JH Ahn
MICRO-54: 54th Annual IEEE/ACM International Symposium on Microarchitecture …, 2021
412021
Tensor casting: Co-designing algorithm-architecture for personalized recommendation training
Y Kwon, Y Lee, M Rhu
2021 IEEE International Symposium on High-Performance Computer Architecture …, 2021
342021
Virtualizing deep neural networks for memory-efficient neural network design
M Rhu, N Gimelshein, J Clemons, A Zulfiqar, SW Keckler
arXiv preprint arXiv:1602.08124 43, 2016
312016
The system can't perform the operation now. Try again later.
Articles 1–20