Follow
Sriseshan Srikanth
Sriseshan Srikanth
Memory System Architect at Reality Labs, Meta
Verified email at fb.com
Title
Cited by
Cited by
Year
The superstrider architecture: Integrating logic and memory towards non-von Neumann computing
S Srikanth, TM Conte, EP DeBenedictis, J Cook
2017 IEEE International Conference on Rebooting Computing (ICRC), 1-8, 2017
182017
Extending Moore’s law via computationally error-tolerant computing
B Deng, S Srikanth, ER Hein, TM Conte, E Debenedictis, J Cook, ...
ACM Transactions on Architecture and Code Optimization (TACO) 15 (1), 1-27, 2018
142018
Experimental insights from the rogues gallery
JS Young, J Riedy, TM Conte, V Sarkar, P Chatarasi, S Srikanth
2019 IEEE International Conference on Rebooting Computing (ICRC), 1-8, 2019
122019
MetaStrider: Architectures for scalable memory-centric reduction of sparse data streams
S Srikanth, A Jain, JM Lennon, TM Conte, E Debenedictis, J Cook
ACM Transactions on Architecture and Code Optimization (TACO) 16 (4), 1-26, 2019
122019
Superstrider associative array architecture: Approved for unlimited unclassified release: SAND2017-7089 C
EP DeBenedictis, J Cook, S Srikanth, TM Conte
2017 IEEE High Performance Extreme Computing Conference (HPEC), 1-7, 2017
112017
Computationally-redundant energy-efficient processing for y'all (CREEPY)
B Deng, S Srikanth, ER Hein, PG Rabbat, TM Conte, E DeBenedictis, ...
2016 IEEE International Conference on Rebooting Computing (ICRC), 1-8, 2016
112016
Tackling memory access latency through dram row management
S Srikanth, L Subramanian, S Subramoney, TM Conte, H Wang
Proceedings of the International Symposium on Memory Systems, 137-147, 2018
102018
Memory system design for ultra low power, computationally error resilient processor microarchitectures
S Srikanth, PG Rabbat, ER Hein, B Deng, TM Conte, E DeBenedictis, ...
2018 IEEE International Symposium on High Performance Computer Architecture …, 2018
92018
Intrepydd: performance, productivity, and portability for data science application kernels
T Zhou, J Shirako, A Jain, S Srikanth, TM Conte, R Vuduc, V Sarkar
Proceedings of the 2020 ACM SIGPLAN International Symposium on New Ideas …, 2020
62020
Scalable energy-efficient microarchitectures with computational error tolerance via redundant residue number systems
B Deng, S Srikanth, A Jain, TM Conte, E DeBenedictis, J Cook
IEEE Transactions on Computers 71 (3), 613-627, 2021
52021
Merge network for a non-von Neumann accumulate accelerator in a 3D chip
A Jain, S Srikanth, EP DeBenedictis, T Krishna
2018 IEEE International Conference on Rebooting Computing (ICRC), 1-11, 2018
52018
Energy efficiency limits of logic and memory
S Agarwal, J Cook, E DeBenedictis, MP Frank, G Cauwenberghs, ...
2016 IEEE International Conference on Rebooting Computing (ICRC), 1-8, 2016
52016
Systems and methods for page management using local page information
S Srikanth, L Subramanian, S Subramoney
US Patent 10,191,689, 2019
32019
Scoreboard approach to managing idle page close timeout duration in memory
S Srikanth, L Subramanian, S Subramoney
US Patent 10,176,124, 2019
32019
A brief survey of non-residue based computational error correction
S Srikanth, B Deng, TM Conte
arXiv preprint arXiv:1611.03099, 2016
32016
SortCache: intelligent cache management for accelerating sparse data workloads
S Srikanth, A Jain, TM Conte, EP Debenedictis, J Cook
ACM Transactions on Architecture and Code Optimization (TACO) 18 (4), 1-24, 2021
22021
Method and apparatus for recovering regular access performance in fine-grained dram
S Srikanth, V Adhinarayanan, JB Kotra, S Blagodurov
US Patent App. 18/240,770, 2023
2023
VLIW Dynamic Communication
S Srikanth, KR Sangaiah, AT Gutierrez, VVS Bharadwaj, J Kalamatianos
US Patent App. 17/843,640, 2023
2023
Page Swapping To Protect Memory Devices
S Seyedzadehdelcheh, S Srikanth
US Patent App. 17/703,491, 2023
2023
Adaptive floating point inference performance for systems with unreliable memory
S Srikanth, S Seyedzadehdelcheh
US Patent App. 17/705,066, 2023
2023
The system can't perform the operation now. Try again later.
Articles 1–20